CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - analog to digital converter

搜索资源列表

  1. ADC

    0下载:
  2. Analog to digital converter with c language (using Atmega 16)
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:8926
    • 提供者:hunterzzz
  1. adc16

    0下载:
  2. 此例程是针对FPGA的16位模拟数字转换器的程序,相信这对需要进行模数转换的朋友辉用到的-This routine is for the FPGA of 16-bit analog-to-digital converter program, I believe this is the need for analog-to-digital converters used in a friend-hui
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1113
    • 提供者:徐礼静
  1. 128_AD

    0下载:
  2. 128-AD(AVR128模拟量转换为数字量的AD变换器C语言程序)-128-AD (AVR128 analog to digital converter AD amount of C language program)
  3. 所属分类:CSharp

    • 发布日期:2017-04-13
    • 文件大小:1804
    • 提供者:minqiang
  1. tlv5604

    0下载:
  2. tlv5604 high performance analog to digital converter 10bit from TI.
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:306195
    • 提供者:trank
  1. AD9740

    0下载:
  2. ad9740 high performance analog to digital converter 10bit/12bit from AD.
  3. 所属分类:Project Design

    • 发布日期:2017-05-07
    • 文件大小:1036608
    • 提供者:trank
  1. AD9763

    0下载:
  2. ad9763 10-Bit, 125 MSPS Dual TxDAC+, high performance analog to digital converter from AD.
  3. 所属分类:Project Design

    • 发布日期:2017-05-14
    • 文件大小:3431120
    • 提供者:trank
  1. Guide

    0下载:
  2. DM 365 Analog to Digital Converter User s Guide
  3. 所属分类:Development Research

    • 发布日期:2017-04-08
    • 文件大小:109632
    • 提供者:JIN HYUK JANG
  1. CS5530_F3

    0下载:
  2. The CS5530 is a highly integrated ΔΣ Analog-to-Digital Converter (ADC) which uses charge-balance techniques to achieve 24-bit performance. The ADC is optimized for measuring low-level unipolar or bipolar signals in weigh scale, process contro
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:263297
    • 提供者:fang
  1. flywing

    0下载:
  2. The CS5530 is a highly integrated ΔΣ Analog-to-Digital Converter (ADC) which uses charge-balance techniques to achieve 24-bit performance. The ADC is optimized for measuring low-level unipolar or bipolar signals in weigh scale, process contro
  3. 所属分类:Document

    • 发布日期:2017-05-22
    • 文件大小:6968810
    • 提供者:fang
  1. adc-report

    0下载:
  2. Complete project of a voltage DAC (analog to digital converter) with a two digit seven segment display using Atmel AVR AT90S2313 microcontroller. DAC is counter-based, using analog comparator connected to PB0 (+) and PB1 (-). Code included.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:732604
    • 提供者:Randall
  1. ads7809

    0下载:
  2. VHDL model file for Analog devices Analog to Digital converter model ADC7809.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:978
    • 提供者:salman
  1. adc_2407

    0下载:
  2. analog to digital converter program build in code composer studio for 2407 dsp processor-analog to digital converter program build in code composer studio for 2407 dsp processor..
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:42252
    • 提供者:siva
  1. adc_pwm

    0下载:
  2. analog to digital converter using pwm technique for 2407 dsp build in cc studio 3.3
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:26330
    • 提供者:siva
  1. sa3501

    0下载:
  2. 该器件具有高达15.5V的输出电压,是第一款内置温度传感器、ADC以及查找表(LUT)的高度集成非易失数字电位器系列产品,具有与标准数字电位器相近的成本。 DS3501提供了一个ADC可寻址的36字节LUT,查找的温度跨度范围为-40℃至+100℃。可以使用LUT输出加上非易失初值寄存器(IVR)的值或LUT输出直接替代IVR的值,作为7位线性电位器的输入。该架构可支持许多应用,例如LCD背板、光接收器以及工业控制这类理想控制电压随温度变化而变的应用。DS3501专门设计可适合于
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:4213
    • 提供者:Mengliang Yi
  1. ADC

    0下载:
  2. analog to digital converter source code for LPC2148
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1407
    • 提供者:Prakash
  1. AD77977797

    1下载:
  2. AD7797的模数转换读取程序,已经测试通过,很好用-AD7797 analog to digital converter reads the program has been tested, useful
  3. 所属分类:SCM

    • 发布日期:2016-05-12
    • 文件大小:2048
    • 提供者:张子臣
  1. ADC

    0下载:
  2. ADC模数转换芯片的技术资料,有助于帮助实现基于单片的模数转换电路的设计-ADC analog-digital conversion chip technology information to help to help achieve on-chip analog to digital converter circuit
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:468928
    • 提供者:李沛然
  1. ADS1252U

    0下载:
  2. ads1251 24-Bit, 20kHz, Low-Power ANALOG-TO-DIGITAL CONVERTER
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-23
    • 文件大小:470903
    • 提供者:sdk
  1. AD7793

    1下载:
  2. Analog-to-digital converter ad7793 functions to work with MSP430-ad7793 24bit driver applications
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2015-06-04
    • 文件大小:1024
    • 提供者:fanpen
  1. EX_DPOT

    0下载:
  2. This example demonstrates how to use a digital pot. The program will prompt for the pot settings, set the pot and show the results using an analog to digital converter.- This example demonstrates how to use a digital pot. The program will prompt fo
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:1193
    • 提供者:Ricardo
« 1 2 34 5 6 7 8 9 10 ... 24 »
搜珍网 www.dssz.com